东坡下载:内容最丰富最安全的下载站!

帮助|文件类型库|最新更新|下载分类|排行榜

三农软件股票证券彩票工具工程建筑银行保险财务会计旅游餐饮医药保健汽车物流购物商城印刷设计行业管理抽奖软件

首页行业软件工程建筑 → fpga验证软件lady(EziDebug ) 1.0 中文纯净版

fpga验证软件lady(EziDebug )

fpga验证软件lady(EziDebug )1.0 中文纯净版

  • 大小:13.1M
  • 语言:中文
  • 平台:WinAll
  • 更新:2015-05-30 09:33
  • 等级:
  • 类型:工程建筑
  • 网站:http://www.uzzf.com
  • 授权:免费软件
  • 厂商:
  • 产地:国产软件
好用好玩 50%(0)
坑爹 坑爹 50%(0)
软件介绍软件截图相关软件软件教程网友评论下载地址

EziDebug的软件界面简单友好,有简约和完整两个界面形式,使用前端显示与FPGA工程软件无缝结合。该软件主要功能分为寄存器链插入、数据采集和导出、重建testbench和软件仿真验证等四个部分。EziDebug支持Altera的QuartusII软件平台,同时也支持Xilinx的ISE。寄存器链的插入基于VHDL和Verilog HDL硬件描述语言,在RTL级硬件代码的每个寄存器附加一个采样寄存器,在触发条件下进行数据采样,该数据导出之用于软件仿真时寄存器的数值重建,重现FPGA运行过程中内部的真实信号波形。仿真验证通过比较输出结果来判断硬件运行正确与否。

EziDebug 是一款用于支持FPGA硬件验证的辅助软件,从全可视化角度支持FPGA工程的调试,简化繁杂的调试过程,通过该软件能很快查找出代码中的错误,加快硬件验证的进度。整个软件安装方便,界面简洁,操作简单快捷,占用的系统资源很少,在使用的整个过程中,只需要使用不到十个的按键控件即能完成所有操作。

fpga验证软件lady(EziDebug )按钮功能

双击图标后,用户可以看到由两个对话框组成的界面,最上面的界面即主要操作面板如图1所示。各个按钮图标的功能如下:

1、工程设置:设置工程的具体选项,比如:工程的工作目录,扫描链中寄存器最大个数,工程是xilinx还是altera的工程等。

2、全部更新:全部扫描工程文件,重新提取module的端口信号,寄存器信息等。

3、快速更新(部分更新):只扫描和更新工程文件中比存储日期新的文件。

4、删除所有链:删除工程文件中所有添加的链信息。

5、生成testbench:此选项会跳出对话框,需要输入signaltap生成文件名,并选择该文件对应的链,然后生成testbench。

6、撤销上一步操作:只恢复上一次操作前的文本原貌。(注意:不支持多次撤销)

7、更新提示:当用户修改了代码与软件进行上一次进行更新操作的代码不一致时进行提示。

8、最小化窗口按钮

9、迷你窗口按钮

10、下拉窗口显示与隐藏切换按钮

11、关闭窗口按钮


PC官方
安卓官方手机版
IOS官方手机版

fpga验证软件lady(EziDebug )截图

下载地址

fpga验证软件lady(EziDebug ) 1.0 中文纯净版

热门评论
最新评论
昵称:
表情: 高兴 可 汗 我不要 害羞 好 下下下 送花 屎 亲亲
字数: 0/500 (您的评论需要经过审核才能显示)

编辑推荐

本类软件必备

报错

请简要描述您遇到的错误,我们将尽快予以修正。

转帖到论坛
轮坛转帖HTML方式

轮坛转帖UBB方式